Verilog-AMS

本頁使用了標題或全文手工轉換
維基百科,自由的百科全書

Verilog-AMSVerilog硬體描述語言的一個衍生。它包含了類比混訊擴展模塊,以實現對於類比電路和混訊系統行為的描述。它擴展了Verilog、SystemVerilog等的事件驅動仿真器的迴路,通過使用一個連續時間仿真器,可以在類比域(analog-domain)上求解微分方程。類比事件可以觸發數位行為,反之亦可。[1]

參考文獻[編輯]

  1. ^ Scheduling semantics are specified in the Verilog/AMS Language Reference Manual, section 8.

外部連結[編輯]

一般的資料[編輯]

開源資料[編輯]