SystemVerilog DPI

維基百科,自由的百科全書

SystemVerilog DPI,全稱SystemVerilog直接編程接口 (英語:SystemVerilog Direct Programming Interface)是SystemVerilog與其他外來編程語言的接口。能夠使用的語言包括C語言C++SystemC等。直接編程接口由兩個層次構成:SystemVerilog層和外來語言層。兩個層次相互分離。對於SystemVerilog方面,另一邊使用的編程語言是透明的,但它並不關注這一點。SystemVerilog和外來語言的編譯器各自並不需要分析另一種語言的代碼。由於不觸及SystemVerilog層,因此支持使用不同的語言。不過,目前SystemVerilog僅為C語言定義了外來語言層。

相關條目[編輯]

參考文獻[編輯]